当前位置: 首页 > news >正文

设计公司属于什么行业类型班级优化大师电脑版

设计公司属于什么行业类型,班级优化大师电脑版,网站制作模版,岳阳卖房网站专栏前言 本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网 串并转换操作是非常灵活的操作,核心思想就是移位。串转并就是把1位的输入放到N位reg的最低位,然后N位reg左移一位,在把1位输入放到左移后…
专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

串并转换操作是非常灵活的操作,核心思想就是移位。串转并就是把1位的输入放到N位reg的最低位,然后N位reg左移一位,在把1位输入放到左移后的reg的最低位,这样循环,就可以得到,以最高位开始传输,最低位传输完成的N位数据了,最后将这N位数据同时输出,即为串行输入并行输出;并转串就是把并行的N位数据的最高位给1位输出,然后类似的循环左移就可以了。

`timescale 1ns/1ns
module huawei5(input wire clk  ,input wire rst  ,input wire [3:0]d ,output wire valid_in ,output wire dout);//*************code***********//reg [3:0] data ; reg [1:0] cnt ; reg valid ; always @ (posedge clk or negedge rst) begin if (!rst) begin data <= 0 ; cnt <= 0 ; valid <= 0 ; endelse begin if (cnt == 3) begin data <= d ; // 并行输入cnt <= 0 ; valid <= 1 ; endelse begin cnt <= cnt + 1 ; valid <= 0 ; data <= {data[2:0], data[3]} ; // 每个时钟将数据左移,保证每位数据都能出现在最高位endendendassign dout = data[3] ; // 每个时钟上升沿读取当前数据的最高位,四个时钟读完assign valid_in = valid ; //*************code***********//endmodule
http://www.hrbkazy.com/news/1458.html

相关文章:

  • 网站专题怎么做呢bt磁力搜索引擎
  • 旅游网站建设怎么做做推广网络
  • 网站导航页面制作seo的五个步骤
  • 网络推广网站建设方案关键词查找工具
  • 提高网站打开速度的7大秘籍电商网站开发平台有哪些
  • 博客移动端网站模板seo推广是什么意怿
  • 成都网站建设推广在如何做电商赚钱
  • 购物网站制作公司百度关键词相关性优化软件
  • 推荐系统网站开发公司网站推广方案
  • wordpress 分类不显示图片外贸网站seo教程
  • 自己做充值网站品牌宣传推广方案
  • 做网站需要看的书百度企业官网认证
  • 常德公交网站针对大学生推广引流
  • 最专业微网站多少钱厦门seo关键词优化代运营
  • 建网站能多少带宽关键词seo排名怎么做的
  • 网站www淘宝关键词排名怎么查
  • 免费网站哪个好软文推广有哪些平台
  • 网站建设全包搜索引擎有哪些软件
  • 服务器windos做网站google关键词挖掘工具
  • 申请号的网站百度快速优化推广
  • 易语言 wordpress登录网站seo优化服务商
  • 用ps做网站的首页西安seo报价
  • 女和男做搞基视频网站微信公众号怎么创建
  • 如何建立网站快捷谷歌推广怎么开户
  • 免费网站建设咨询黄页
  • 17网站一起做网店揭阳百度关键字优化精灵
  • 政府网站建设四个定位企业seo顾问服务阿亮
  • 网站初期内容seo关键词分析表
  • cookie做网站访问量微信crm
  • 防控措施有这些优化seo求职信息