当前位置: 首页 > news >正文

手表网站妨水网站的优化与推广分析

手表网站妨水,网站的优化与推广分析,JSP Oracle动态网站开发,网站推广策划案格式及范文专栏前言 本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网 分析 1、在硬件中进行乘除法运算是比较消耗资源的一种方法,想要在不影响延迟并尽量减少资源消耗,必须从硬件的特点上进行设计。根据寄存器的原理&a…
专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

 

分析 

1、在硬件中进行乘除法运算是比较消耗资源的一种方法,想要在不影响延迟并尽量减少资源消耗,必须从硬件的特点上进行设计。根据寄存器的原理,由于是二进制,所以进位和退位为x2或者/2,同样除7可以使用进位3然后减去本身的做法,这样就将乘除法运算转化为位运算,这是一种比较简单的整数运算处理。

2、 需要给出一个计数器的状态机,注意d输入不是随时有效的,只有在cnt计数为0的那个时钟沿,d输入有效,因此需要设计一个寄存器din,在cnt为0时候锁存d的值

`timescale 1ns/1ns
module multi_sel(
input [7:0]d ,
input clk,
input rst,
output reg input_grant,
output reg [10:0]out
);
//*************code***********//reg [1:0] cnt ; reg [7:0] din ; always @ (posedge clk or negedge rst) begin if (~rst) begin cnt <= 0 ; out <= 0 ; input_grant <= 0 ; din <= 0 ; end else begin cnt <= (cnt + 1) % 4 ; // cnt <= cnt + 1 由于是2位的寄存器 溢出后自动清0 两种写法皆可case (cnt) 0 : begin din <= d ; input_grant <= 1 ; out <= d ; end 1 : begin input_grant <= 0 ;out <= (din << 2) - din ; end 2 : begininput_grant <= 0 ; out <= (din << 3) - din ; end 3 : begin input_grant <= 0 ; out <= din << 3 ; end endcaseend end //*************code***********//
endmodule

http://www.hrbkazy.com/news/17791.html

相关文章:

  • 做同城网站赚钱推广资源seo
  • 义乌外贸网站建设来啦厦门seo公司
  • 用手机做自己的网站seo排名分析
  • facebook外贸推广seo 工具分析
  • 外贸自建站多少钱一个微信朋友圈营销文案
  • 网站建设的种类网络运营策划
  • 家居网站建设全网营销广州百度seo排名优化
  • 网站如何安装wordpress宣传产品的方式
  • pyhton做网站常用的网络推广的方法有哪些
  • 品牌高端网站建设公司优化网络推广外包
  • 怎么盗用网站seo研究中心好客站
  • 中小型网站有哪些如何在各大平台推广
  • 网站 app建设开发合作协议微信营销软件免费版
  • 南通外贸网站建设网站推广文章
  • 政府网站开发招标文件免费网站排名优化软件
  • 建设企业网站综合考虑建网站一般多少钱
  • 行业类网站模板学编程的正规学校
  • 网站建设的商业阶段万网域名管理平台
  • 网站开发外包费用网络广告联盟
  • 管理公司网站的职位网店推广实训系统
  • 贵州住房与城乡建设厅网站b站引流推广
  • 武汉专业做网站的公司有哪些常州网站推广
  • 无锡微网站制作谷歌搜索引擎363入口
  • wordpress 文章查询宁波免费seo在线优化
  • 昆山网站建设培训班运营推广怎么做
  • 深圳网站备案注销爱站网关键词查询
  • 贵阳网站建设制作seo兼职平台
  • 南安市网站建设中国培训网
  • html网站开发有没有专门帮人推广的公司
  • 怎样建立一个营销网站付费内容网站