当前位置: 首页 > news >正文

佛山新网站制作机构公司网站设计需要多少钱

佛山新网站制作机构,公司网站设计需要多少钱,现在网站一般都是什么语言做的,wordpress同步到豆瓣专栏前言 本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网 自动贩售机中可能存在的几种金额:0,0.5,1,1.5,2,2.5,3。然后直接将其作为状态机的几种状…
专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

自动贩售机中可能存在的几种金额:0,0.5,1,1.5,2,2.5,3。然后直接将其作为状态机的几种状态,并根据投币面额确定状态转移。

需要注意的是:根据时序图,可以发现在找零时,out2输出的结果是找零数额的两倍,即找零0.5应输出1,找零1应输出2,以此类推。

`timescale 1ns/1ns
module seller1(input wire clk  ,input wire rst  ,input wire d1 ,input wire d2 ,input wire d3 ,output reg out1,output reg [1:0]out2
);
//*************code***********//parameter S0 = 0, S0_5 = 1, S1 = 2, S1_5 = 3, S2 = 4, S2_5 = 5, S3 = 6 ; reg [2:0] state, nstate ;always @ (posedge clk or negedge rst) begin if (~rst) state <= S0 ; else state <= nstate ; endalways @ (*) begin case (state) S0 : nstate = d1 ? S0_5 : d2 ? S1 : d3 ? S2 : nstate ;S0_5 : nstate = d1 ? S1 : d2 ? S1_5 : d3 ? S2_5 : nstate ; S1 : nstate = d1 ? S1_5 : d2 ? S2 : d3 ? S3 : nstate ; S1_5, S2, S2_5, S3 : nstate = S0 ; default : nstate = S0 ; endcase endalways @ (*) begin if (~rst) out1 <= 'd0 ; else out1 <= state == S1_5 || state == S2 || state == S2_5 || state == S3 ; endalways @ (*) begin if (~rst) out2 <= 'd0 ; else case (state) S0, S0_5, S1, S1_5 : out2 <= 1'd0 ; S2 : out2 <= 1'd1 ; S2_5 : out2 <= 2'd2 ; S3 : out2 <= 2'd3 ; default : out2 <= 'd0 ; endcaseend 	//*************code***********//
endmodule
http://www.hrbkazy.com/news/24284.html

相关文章:

  • 上海培训网站建设网球新闻最新消息
  • 昌平做网站的公司电视剧排行榜百度搜索风云榜
  • 广西建设监理协会官方网站获客渠道有哪些
  • 做羞羞的事情网站推广app赚佣金接单平台
  • 机电建设工程施工网站图片网站seo优化方法
  • jsp动态网站开发视频教程天津seo优化公司哪家好
  • 设计网站推荐语快速网站推广
  • 网站开发seo规范seowhy教研室
  • 免费自制壁纸app关键词seo排名怎么样
  • 制作网站公司唐山优化推广服务
  • 公司建站文案给网站公司看的百度网盘登录入口
  • 做美股的数据网站seo蜘蛛池
  • 媒体查询做响应式网站有哪些怎么发布信息到百度
  • 地方性的网站有前途seo排名计费系统
  • 做货代用的网站shopify seo
  • 在那里做网站seo计费系统开发
  • 网站建设项目预算表活动软文模板
  • 做快消品看那些网站好互联网广告
  • 常州住房和城乡建设局网站谷歌seo是什么意思
  • java可以做网站开发吗百度一下首页网址百度
  • 天合建设集团网站8大营销工具指的是哪些
  • 最新网站建设常见问题线上运营推广方案
  • 高性能网站建设指南在线阅读看seo
  • 企业 网站 客户留言怎么做网站seo快速
  • 揭阳企业网站模板建站武汉大学人民医院官网
  • 可以做效果图的网站推广广告赚钱软件
  • 做网站开发用哪门语言官网优化包括什么内容
  • 做网站基础seo搜索引擎优化营销案例
  • 忻府网站建设seo排名的职位
  • 简单的网站设计模板下载定向推广