当前位置: 首页 > news >正文

国内专业网站建设交换链接的其它叫法是

国内专业网站建设,交换链接的其它叫法是,湖南营销型网站建设磐石网络省钱,网站查询平台官网在仿真中,定义队列,使用任务进行函数传递,并传递文件名,传递队列,进行打印 $sformat(filename, “./data_log/%0d_%0d_%0d_0.txt”, f_num, lane_num,dt); 使用此函数可以自定义字符串,在仿真的时候进行文件…

在仿真中,定义队列,使用任务进行函数传递,并传递文件名,传递队列,进行打印
$sformat(filename, “./data_log/%0d_%0d_%0d_0.txt”, f_num, lane_num,dt);
使用此函数可以自定义字符串,在仿真的时候进行文件命名和传递数据的场景很多。

int file;
task writeQueueToFile(string filename, reg [7:0] queue[$]);if (filename == "") begin$display("Error: Invalid file name");return;endfile = $fopen(filename, "w");if (file == 0) begin$display("Error: Unable to open file '%s'", filename);return;endforeach (queue[i]) begin$fwrite(file, "%d\n", queue[i]);end$fclose(file);$display("Queue contents written to file '%s'", filename);
endtask

创建一个data_log文件夹,存入文件,数据可以传入数字类型

    $sformat(filename, "./data_log/%0d_%0d_%0d_0.txt", f_num, lane_num,dt);writeQueueToFile(filename, dl0_data);

完整的测试方案

`timescale 1ns/1ns
module test_q_w ();
reg [7:0]dl0_data[$];string filename;bit [1:0] lane_num;
bit [10:0] f_num;reg [07:00] dt   ;
reg [31:00] data_in;initial begindl0_data.delete();
endint file;
task writeQueueToFile(string filename, reg [7:0] queue[$]);if (filename == "") begin$display("Error: Invalid file name");return;endfile = $fopen(filename, "w");if (file == 0) begin$display("Error: Unable to open file '%s'", filename);return;endforeach (queue[i]) begin$fwrite(file, "%d\n", queue[i]);end$fclose(file);$display("Queue contents written to file '%s'", filename);
endtaskbit clk;
bit wr_en;int wc= 'd500;
initial beginclk = 0;forever begin#10ns; clk = ~clk;end
endalways @(posedge clk) beginif(wr_en=='d1)begindl0_data.push_back(data_in);data_in =data_in+1'b1;if (data_in== wc)beginwr_en='d0;endend
endalways @(negedge wr_en) begin$sformat(filename, "./data_log/%0d_%0d_%0d_0.txt", f_num, lane_num,dt);writeQueueToFile(filename, dl0_data);dl0_data.delete();
end
initial beginwr_en =1;data_in =0;// #10usf_num='d1;lane_num ='d1;dt = 'd1;endinitial begin$display("fsdbDumpfilrs is start at %d",$time);$fsdbDumpfile("verilog.fsdb");$fsdbDumpvars();#1e9;$finish;
end
endmodule

仿真结果
往文本中写入了500个数据
在这里插入图片描述

在这里插入图片描述

http://www.hrbkazy.com/news/27452.html

相关文章:

  • 网站月付服务器设计师经常用的网站
  • wordpress日防问数代码网站搜索引擎优化案例
  • 个人网站布局东营网站建设费用
  • 咸阳网站建设hao123影视
  • 贵阳做网站好的公司申请网站怎样申请
  • 网站建设与维护结课论文营销策略从哪几个方面分析
  • 课程设计报告 网站开发全面网络推广营销策划
  • 网站商城开发各大网站域名大全
  • 网站数据表怎么做分类达人介绍
  • 做公众号首图的设计网站郑州网站建设专业乐云seo
  • 怎么做网站自动响应好的搜索引擎推荐
  • 专业仿站网站建设搜索排名影响因素
  • 网站怎么做支付创建网站需要多少资金
  • 福州商城网站网络销售怎么做
  • 广州广告网站建设网站排名优化课程
  • 泰州网站建设价格最新营销模式有哪些
  • 内部网站做域名解析到端口国家再就业免费培训网
  • 网站建设 加强宣传深圳网站seo推广
  • 工信部icp备案查询系统威海seo公司
  • 自己做的网站怎么做客服聊天hao123影视
  • 有哪些网站可以做兼职seo教程自学
  • 如何做阿里巴巴的网站精准引流推广
  • 着力加强网站内容建设客户管理软件crm排名
  • 网站开发工资济南艾滋病多久可以查出来
  • 浙江恒元建设网站国内新闻最近新闻今天
  • 学做美食的视频网站百度指数分是什么
  • 比较出名的网站域名12345浏览器
  • iis部署网站 win7搜索引擎优化包括哪些
  • 最新足球新闻头条百度首页排名优化公司
  • 长沙 建网站重庆seo怎么样