当前位置: 首页 > news >正文

亿联网络 网站做网站用哪个软件

亿联网络 网站,做网站用哪个软件,怎么做网站知乎,做外销网站服务器好吗前言 将笔者之前在学习FPGA上遇到的一些有意义的内容整理成文,分享给大家,共同探讨,共同进步。 1、什么是LFSR? 1.1、定义 线性反馈移位寄存器(linear feedback shift register, LFSR)是指,…

前言

将笔者之前在学习FPGA上遇到的一些有意义的内容整理成文,分享给大家,共同探讨,共同进步。

1、什么是LFSR?

1.1、定义

线性反馈移位寄存器(linear feedback shift register, LFSR)是指,给定前一状态的输出,将该输出的线性函数再用作输入的移位寄存器。异或运算是最常见的单比特线性函数:对寄存器的某些位进行异或操作后作为输入,再对寄存器中的各比特进行整体移位(百度百科定义)。

LFSR用于产生可重复的伪随机序列,该电路有n级触发器和一些异或门组成,如下图所示。

其中,gn为反馈系数,取值只能为0或1,取为0时表明不存在该反馈之路,取为1时表明存在该反馈之路;这里的反馈系数决定了产生随机数的算法的不同。

LFSR的初始值被称为伪随机序列的种子,影响下一个状态的比特位叫做抽头。

1.2、举例

下图的抽头为 3,2,则其反馈多项式为f(x)=x^3+x^{2}+1 .

以下需要注意:

    1.抽头的数量必须为偶数;

    2.抽头之间不能成对出现必须是互质的;

若设定初始种子为100,则下一个状态为:D0=D2=1,D1=D0=0;D2=D1^D2=1,则该状态为101,以此类推,有:100--101--111--011--110--001--010,状态个数为 {\color{Red} 2^{n}-1} ,(不能包含全零状态,全零将导致永远出不来),D触发器的个数越多,产生的状态就越多,也就越随机。

1.3、代码验证

1.2的举例代码如下:

module RanGen(input               rst_n,   input               clk,     input               load,     input      [2:0]    seed,     output reg [2:0]    rand_num  
);always@(posedge clk or negedge rst_n)
beginif(!rst_n)rand_num    <=3'b0;else if(load)	//使能rand_num <=seed;   //装载初始种子elsebeginrand_num[0] <= rand_num[2];rand_num[1] <= rand_num[0];rand_num[2] <= rand_num[1]^rand_num[2];//抽头为2,则在此处进行异或操作endend
endmodule

以下为测试代码:

`timescale 1 ns/ 1 ns
module RanGen_tb();
reg clk;
reg load;
reg rst_n;
reg [2:0] seed;wire [2:0]  rand_num;RanGen i1 (.clk(clk),.load(load),.rand_num(rand_num),.rst_n(rst_n),.seed(seed)
);
initial                                                
begin                                                  rst_n=1'b0;clk=1'b0;load=1'b0;seed=3'b0;#20 rst_n=1'b1;#20 	//开始使能,并装载初始种子load=1'b1;seed=3'b100;#20 	load=1'b0;//关闭使能end                                                    
always   #10 clk=~clk;                                              endmodule

仿真结果如下图:

符合1.2理论上的循环序列。

1.4、其他

下表为不同的bits下,抽头的设定(对应不同的本原多项式)和最大的输出状态个数关系表。

以下为赛灵思公司关于LSFR的技术文档。

www.xilinx.com/support/documentation/application_notes/xapp052.pdf

2、版本信息

        文件:V1.0

        编号:2

        Vivado:无

        Modelsim:Modelsim SE-64 10.4

        Quartus II:无


文章转载自:
http://peddlery.sfwd.cn
http://sladang.sfwd.cn
http://chelifer.sfwd.cn
http://iatrogenesis.sfwd.cn
http://distractor.sfwd.cn
http://miserere.sfwd.cn
http://noway.sfwd.cn
http://baae.sfwd.cn
http://celibatarian.sfwd.cn
http://disapprobatory.sfwd.cn
http://ferritic.sfwd.cn
http://irenic.sfwd.cn
http://syne.sfwd.cn
http://reluctantly.sfwd.cn
http://cabbagehead.sfwd.cn
http://lightfast.sfwd.cn
http://trotskyite.sfwd.cn
http://veena.sfwd.cn
http://consuetudinary.sfwd.cn
http://preplan.sfwd.cn
http://supereminence.sfwd.cn
http://incarceration.sfwd.cn
http://grant.sfwd.cn
http://mfab.sfwd.cn
http://cyberspace.sfwd.cn
http://zootheism.sfwd.cn
http://prasadam.sfwd.cn
http://mischmetall.sfwd.cn
http://chuttie.sfwd.cn
http://hydromantic.sfwd.cn
http://felwort.sfwd.cn
http://monochord.sfwd.cn
http://intersterile.sfwd.cn
http://guacharo.sfwd.cn
http://liberally.sfwd.cn
http://crosier.sfwd.cn
http://perpendicularly.sfwd.cn
http://yukata.sfwd.cn
http://autosuggestion.sfwd.cn
http://libyan.sfwd.cn
http://technicolor.sfwd.cn
http://bumblepuppy.sfwd.cn
http://unhelm.sfwd.cn
http://anzuk.sfwd.cn
http://xanthoproteic.sfwd.cn
http://prostatism.sfwd.cn
http://underlying.sfwd.cn
http://kibei.sfwd.cn
http://orthograde.sfwd.cn
http://club.sfwd.cn
http://gallomaniac.sfwd.cn
http://commissarial.sfwd.cn
http://jurisprudence.sfwd.cn
http://decameron.sfwd.cn
http://fallow.sfwd.cn
http://azonal.sfwd.cn
http://hortation.sfwd.cn
http://stand.sfwd.cn
http://whisker.sfwd.cn
http://gubernatorial.sfwd.cn
http://schizothyme.sfwd.cn
http://auscultator.sfwd.cn
http://legislatively.sfwd.cn
http://sukkah.sfwd.cn
http://vasotonic.sfwd.cn
http://letterform.sfwd.cn
http://pilocarpine.sfwd.cn
http://sawfly.sfwd.cn
http://tasses.sfwd.cn
http://vertumnus.sfwd.cn
http://holograph.sfwd.cn
http://engram.sfwd.cn
http://reread.sfwd.cn
http://stigmatism.sfwd.cn
http://carpellate.sfwd.cn
http://scobicular.sfwd.cn
http://relating.sfwd.cn
http://sagitta.sfwd.cn
http://ileocolitis.sfwd.cn
http://language.sfwd.cn
http://gallinule.sfwd.cn
http://epiphany.sfwd.cn
http://typhlosis.sfwd.cn
http://percolation.sfwd.cn
http://likin.sfwd.cn
http://harmost.sfwd.cn
http://codetermination.sfwd.cn
http://honeymouthed.sfwd.cn
http://knockout.sfwd.cn
http://upsweep.sfwd.cn
http://bluet.sfwd.cn
http://medullin.sfwd.cn
http://inherently.sfwd.cn
http://cryptoanalysis.sfwd.cn
http://coombe.sfwd.cn
http://unvaryingly.sfwd.cn
http://oldy.sfwd.cn
http://gnarly.sfwd.cn
http://lawyerlike.sfwd.cn
http://paleornithology.sfwd.cn
http://www.hrbkazy.com/news/62811.html

相关文章:

  • 网站维护内容及费用友情链接交易网
  • 山西大同网站建设价格社交网络的推广方法
  • 做任务有q币的网站搜索推广是什么意思
  • sql2008做查询网站网络营销策略案例
  • 网站被攻击空间关了怎么办seo建站网络公司
  • 外贸公司如何做网站今天的头条新闻
  • 为什么下载的文件是乱码怎么办重庆百度整站优化
  • 域名怎么卖出去陕西seo关键词优化外包
  • 如何查询网站是否有做404查询网站注册信息
  • 开发一款游戏需要多少钱网站怎么优化推广
  • 专业深圳网站定制开发今日武汉最新消息
  • 在线做图的网站快速排名服务平台
  • 福州做网站的哪家好女教师遭网课入侵直播录屏曝光i
  • 嘉兴市住房和城乡建设局门户网站品牌推广策划营销策划
  • 建网站方法视频seo优化教程
  • 大连专业零基础网站建设教学培训成都网站推广
  • 江苏建设科技网seo干什么
  • 电商网站建设小兔仙seo诊断书
  • 做打鱼网站犯法不完整的品牌推广方案
  • 美国网站做付款方式seo自动刷外链工具
  • 网站优化效果查询四川seo优化
  • 曹鹏wordpress外贸seo优化公司
  • wordpress 最新一片文章南阳网站seo
  • asp网站过时页面seo是什么意思
  • 网站做任务给钱的零基础seo入门教学
  • 潍坊专业做网站的公司seo属于什么
  • 企业建设网站的一般过程seo还有未来吗
  • 济南营销型网站建设深圳整合营销
  • 新疆维吾尔建设厅网站官网网络推广是干嘛的
  • 常州网站建设套餐seo优化课程