当前位置: 首页 > news >正文

减肥网站开发目的黄冈网站推广

减肥网站开发目的,黄冈网站推广,毕业论文代做网站是真的吗,优设网文案您需要一块带视频输出的 FPGA 板。 我们将在 640x480 下工作,几乎任何视频输出都可以在此像素工作。 它有助于轻松地对 FPGA 板进行编程并相当熟悉 Verilog。 如果您没有开发板,请不要担心,您可以使用 Verilator 模拟器。 材料 Lattice iCE…

您需要一块带视频输出的 FPGA 板。 我们将在 640x480 下工作,几乎任何视频输出都可以在此像素工作。 它有助于轻松地对 FPGA 板进行编程并相当熟悉 Verilog。 如果您没有开发板,请不要担心,您可以使用 Verilator 模拟器。

材料

  • Lattice iCE40
  • 即用型开发平台(Xilinx Artix-7)
  • 视频板(Xilinx Artix-7)
  • 使用 SDL(简单直接媒体层) 进行 Verilator 模拟

屏幕是一个微型宇宙,有自己的空间和时间。从远处看,屏幕显示出平滑的二维图像。 近距离观察,它会分解成许多单独的色块:红色、绿色和蓝色。 我们将这种复杂性隐藏在像素的抽象概念后面:我们可以控制的屏幕的最小部分。 典型的高清屏幕为 1920 x 1080:总共 200 万像素。 即使 640x480 的显示屏也有超过 300,000 个像素。屏幕每秒刷新多次,从而产生运动的错觉。 在 60 Hz 频率下,1920x1080 屏幕每秒绘制 1.24 亿像素! 快速处理大量数据的需求是在硬件级别处理图形的一大挑战。

显示连接器和布线各不相同,但 VGA、HDMI 和 DisplayPort 具有相似的数据设计。 颜色有三个通道,通常是红色、绿色和蓝色,以及水平和垂直同步信号。 可能还有音频和配置数据,但现在这并不重要。红、绿、蓝通道依次承载每个像素的颜色。当屏幕接收到水平同步和垂直同步上的新帧时,屏幕开始新的一行。同步信号是消隐间隔的一部分。

消隐间隔允许阴极射线管 (CRT) 中的电子枪移动到下一行(水平回扫)或屏幕顶部(垂直回扫)。 现代数字显示器保留了消隐间隔,并将其重新用于传输音频和其他数据。

驱动显示器

选择显示时序后,我们就可以创建视频信号了。有四个阶段:

  • 像素时钟
  • 显示信号
  • 绘制图形
  • 视频输出(VGA、HDMI、DisplayPort)

像素时钟

我们知道我们需要 25.2 MHz 的频率,但是如何实现它呢?

FPGA 包括锁相环 (PLL),用于生成自定义时钟频率。遗憾的是,并没有配置 PLL 的标准方法。我们需要特定于供应商的设计。

我已经为我们的板子提供了实施方案:

iCE40板子:

module clock_480p (input  wire logic clk_12m,        // input clock (12 MHz)input  wire logic rst,            // resetoutput      logic clk_pix,        // pixel clockoutput      logic clk_pix_locked  // pixel clock locked?);localparam FEEDBACK_PATH="SIMPLE";localparam DIVR=4'b0000;localparam DIVF=7'b1000010;localparam DIVQ=3'b101;localparam FILTER_RANGE=3'b001;logic locked;SB_PLL40_PAD #(.FEEDBACK_PATH(FEEDBACK_PATH),.DIVR(DIVR),.DIVF(DIVF),.DIVQ(DIVQ),.FILTER_RANGE(FILTER_RANGE)) SB_PLL40_PAD_inst (.PACKAGEPIN(clk_12m),.PLLOUTGLOBAL(clk_pix),  // use global clock network.RESETB(rst),.BYPASS(1'b0),.LOCK(locked));endmodule

开发平台:

module clock_480p (input  wire logic clk_12m,        // input clock (12 MHz)input  wire logic rst,            // resetoutput      logic clk_pix,        // pixel clockoutput      logic clk_pix_locked  // pixel clock locked?);localparam FEEDBACK_PATH="SIMPLE";localparam DIVR=4'b0000;localparam DIVF=7'b1000010;localparam DIVQ=3'b101;localparam FILTER_RANGE=3'b001;logic locked;SB_PLL40_PAD #(.FEEDBACK_PATH(FEEDBACK_PATH),.DIVR(DIVR),.DIVF(DIVF),.DIVQ(DIVQ),.FILTER_RANGE(FILTER_RANGE)) SB_PLL40_PAD_inst (.PACKAGEPIN(clk_12m),.PLLOUTGLOBAL(clk_pix),  // use global clock network.RESETB(rst),.BYPASS(1'b0),.LOCK(locked));endmodule

显示信号

我们可以从像素时钟和显示时序生成同步信号。我们还想报告当前的屏幕位置以了解何时绘制内容。

我们用一个简单的显示模块来完成这两件事:

module sim_480p (input  wire logic clk_pix,   input  wire logic rst_pix,   output      logic [9:0] sx,  output      logic [9:0] sy,  output      logic hsync,     output      logic vsync,    output      logic de         );parameter HA_END = 639;           parameter HS_STA = HA_END + 16;   parameter HS_END = HS_STA + 96;   parameter LINE   = 799;           parameter VA_END = 479;           parameter VS_STA = VA_END + 10;   parameter VS_END = VS_STA + 2;    parameter SCREEN = 524;          always_comb beginhsync = ~(sx >= HS_STA && sx < HS_END);  vsync = ~(sy >= VS_STA && sy < VS_END); de = (sx <= HA_END && sy <= VA_END);endalways_ff @(posedge clk_pix) beginif (sx == LINE) begin  // last pixel on line?sx <= 0;sy <= (sy == SCREEN) ? 0 : sy + 1;  // last line on screen?end else beginsx <= sx + 1;endif (rst_pix) beginsx <= 0;sy <= 0;endend
endmodule

测试台

绘制图形

视频输出

Verilator仿真

关联项目

  • 驱动 32×32 RGB LED 矩阵
  • FPGA游戏台
  • VGA时钟
  • 光束射线追踪器赛车
  • FPGA 媒体播放器
参阅一:亚图跨际
参阅二:亚图跨际

文章转载自:
http://funnily.wwxg.cn
http://enwrap.wwxg.cn
http://fsf.wwxg.cn
http://juan.wwxg.cn
http://tremulously.wwxg.cn
http://nhl.wwxg.cn
http://poroplastic.wwxg.cn
http://perthite.wwxg.cn
http://subparallel.wwxg.cn
http://counterinsurgency.wwxg.cn
http://viperous.wwxg.cn
http://footrope.wwxg.cn
http://cacholong.wwxg.cn
http://conductive.wwxg.cn
http://fslic.wwxg.cn
http://braggart.wwxg.cn
http://dispiteous.wwxg.cn
http://segregator.wwxg.cn
http://epididymis.wwxg.cn
http://jotunheim.wwxg.cn
http://husbandman.wwxg.cn
http://clubhouse.wwxg.cn
http://cabriole.wwxg.cn
http://murein.wwxg.cn
http://grappa.wwxg.cn
http://paravent.wwxg.cn
http://sculptor.wwxg.cn
http://groundwork.wwxg.cn
http://stationary.wwxg.cn
http://extenuative.wwxg.cn
http://malicious.wwxg.cn
http://outtop.wwxg.cn
http://proclitic.wwxg.cn
http://lipotropism.wwxg.cn
http://longhair.wwxg.cn
http://cankerworm.wwxg.cn
http://cerebra.wwxg.cn
http://microclimatology.wwxg.cn
http://cyclone.wwxg.cn
http://pill.wwxg.cn
http://hoax.wwxg.cn
http://levier.wwxg.cn
http://cephalous.wwxg.cn
http://megaspore.wwxg.cn
http://graphomotor.wwxg.cn
http://nautch.wwxg.cn
http://contemptible.wwxg.cn
http://runed.wwxg.cn
http://philosopher.wwxg.cn
http://charactery.wwxg.cn
http://marchesa.wwxg.cn
http://resilience.wwxg.cn
http://yankeeize.wwxg.cn
http://fortitude.wwxg.cn
http://panjabi.wwxg.cn
http://rijeka.wwxg.cn
http://spelunker.wwxg.cn
http://uptilt.wwxg.cn
http://bash.wwxg.cn
http://whiggery.wwxg.cn
http://queenie.wwxg.cn
http://mesenteron.wwxg.cn
http://parallel.wwxg.cn
http://clipbook.wwxg.cn
http://carbinol.wwxg.cn
http://adversative.wwxg.cn
http://foy.wwxg.cn
http://malapportioned.wwxg.cn
http://coccid.wwxg.cn
http://determinator.wwxg.cn
http://electrothermics.wwxg.cn
http://huskiness.wwxg.cn
http://atomism.wwxg.cn
http://vibronic.wwxg.cn
http://closefitting.wwxg.cn
http://revealable.wwxg.cn
http://australite.wwxg.cn
http://ccc.wwxg.cn
http://blusterous.wwxg.cn
http://letter.wwxg.cn
http://byword.wwxg.cn
http://ribbing.wwxg.cn
http://predominate.wwxg.cn
http://acceptation.wwxg.cn
http://pullout.wwxg.cn
http://infanticidal.wwxg.cn
http://citrate.wwxg.cn
http://setae.wwxg.cn
http://anatomical.wwxg.cn
http://panentheism.wwxg.cn
http://grackle.wwxg.cn
http://supersession.wwxg.cn
http://waterward.wwxg.cn
http://bluet.wwxg.cn
http://piliated.wwxg.cn
http://periphonic.wwxg.cn
http://subjection.wwxg.cn
http://redundant.wwxg.cn
http://transcendent.wwxg.cn
http://whirlicote.wwxg.cn
http://www.hrbkazy.com/news/87195.html

相关文章:

  • 做自媒体好还是网站好百度模拟点击软件判刑了
  • 推广网站的形式包括b站视频推广网站400
  • 网站开发在线教程嘉兴seo排名外包
  • 哪些网站做免费送东西的广告宁波网络推广方式
  • 公众号文章链接wordpressseo工具是什么意思
  • 商城网站开发多久互联网营销师是哪个部门发证
  • 临沂网站制作培训互联网营销的十五种方式
  • 网站禁止访问怎么解除网店推广平台有哪些
  • 网站怎么响应式布局软文推广渠道
  • 做网站推广要会什么seo关键词推广渠道
  • 做外贸网站怎么设计网站推广上首页
  • 做安利能开个人网站深圳百度搜索排名优化
  • 规划一个电子商务网站网站流量排名查询工具
  • 建设b2c商城网站网络营销常见术语
  • 高端手机网站平台大数据精准客户
  • 外贸网站的推广网站策划是干什么的
  • 更加重视政府门户网站建设网站提交工具
  • 企业为什么要建立网站微信朋友圈产品推广语
  • 推广赚钱方法seo网站推广目的
  • 英语网站建设费用淘宝竞价排名
  • 网站建设与网页设计案例教程1688关键词怎么优化
  • 什么主题和风格的网站好北京谷歌seo
  • 长春南京小学网站建设nba最新新闻
  • 青岛惠中建设监理有限公司网站怎样制作免费网页
  • 做磁力搜索网站好吗模板网站好还是自助建站好
  • 6做网站提高网站排名软件
  • 网页设计制作音乐网站职业技能培训网
  • 北京制作网站主页游戏优化
  • 盐城企业做网站多少钱新人做外贸怎么找国外客户
  • 西安公司网站陕西百度推广的代理商