当前位置: 首页 > news >正文

学做网站都要学什么专业大数据营销的案例

学做网站都要学什么专业,大数据营销的案例,我想注册一个做门窗的网站应该怎样做,做网站需要用到的符号语言一、概述 在上一篇文章中我们针对单个数码管的静态显示和动态显示进行了一个设计和实现,这篇文章中我们针对多个数码管同时显示进行一个设计。这里和上一篇文章唯一不同的是就是数码管位选进行了一个改变,原来是单个数码管的显示,所以位选就直…

一、概述

     在上一篇文章中我们针对单个数码管的静态显示和动态显示进行了一个设计和实现,这篇文章中我们针对多个数码管同时显示进行一个设计。这里和上一篇文章唯一不同的是就是数码管位选进行了一个改变,原来是单个数码管的显示,所以位选就直接赋值就可以了,但在本篇文章中一次性使用了多个数码管,所以在位选信号中我们就需要进行一个更改。

实验的重难点

在进行多位数码管的显示时,最大的难点就是怎样选择每个数码管的位选,以及每个数码管之间显示的时间间隔,这时本次设计中所设计的两个重难点,只要掌握着两个点,设计也就变得非常简单。

二、工程实现

1、设计文件的编写

       在针对上面的两个难点,我们在编写代码时拼接语法的方式实现位选的循环移动,将不同数码管显示的值使用位选变量作为条件写在一个case语句中,以实现我们预设的012345的字符显示。这里在对8段发光二极管显示字符进行设计时,我们和前一篇文章一样需要先确认时共阴极还是共阳极(这里使用的是共阳极),如果时共阴极就是高电平驱动,反之,就是低电平驱动。通过给8段对应的二极管进行0或者1的赋值,共同组成不同的字符。

module seg0(input  clk,input  rst_n,output reg [5:0] seg_sel,//位选output reg [7:0] seg_dual//段选
);
localparam  ZERO  = 8'b1100_0000, //共阳极段码ONE   = 8'b1111_1001,TWO   = 8'b1010_0100,THREE = 8'b1011_0000,FOUR  = 8'b1001_1001,FIVE  = 8'b1001_0010;
reg [15:0] cnt;//ms计数器
wire       add_cnt;
wire       end_cnt;
reg     [4:0]   flag;
wire            add_flag;
wire            end_flag;always @(posedge clk or negedge rst_n)beginif(!rst_n)cnt<=0;else if(add_cnt)beginif(end_cnt)cnt<=0;elsecnt<=cnt+1'b1;end
end
assign add_cnt=1'b1;
assign end_cnt=add_cnt && (cnt==50_000-1);always @(posedge clk or negedge rst_n)beginif(!rst_n)seg_sel<=6'b111_110;else if(end_cnt)seg_sel<={seg_sel[4:0],seg_sel[5]};
endalways @(posedge clk or negedge rst_n)beginif(!rst_n)seg_dual<=8'b1111_1111;else begincase (seg_sel)6'b111_110:seg_dual<=ZERO ;6'b111_101:seg_dual<=ONE  ;6'b111_011:seg_dual<=TWO  ; 6'b110_111:seg_dual<=THREE;6'b101_111:seg_dual<=FOUR ;6'b011_111:seg_dual<=FIVE ;default: ;endcaseend 
end
endmodule 

2、测试文件编写

这里很简单,我就不进行波形仿真了,下面是测试文件,感兴趣的可以去仿真一下,我就直接在后面给出下板验证的结果。

//定义时间尺度
`timescale 1ns/1ns
module seg0_tb ;//输入信号定义
reg  clk;
reg rst_n;
wire [7:0] seg_dual;
wire [5:0] seg_sel;
//模块例化
seg0 seg_inst(/*input */.clk      (clk     ),/*input */.rst_n    (rst_n   ),/*output*/.seg_sel  (seg_sel ),/*output*/.seg_dual (seg_dual));
//激励信号产生
parameter CLK_CLY = 20;
//时钟
initial clk=1;
always #(CLK_CLY/2)clk=~clk;//复位
initial beginrst_n= 1'b0;#(CLK_CLY*3);#5;//复位结束避开时钟上升沿rst_n= 1'b1;
end
endmodule

3、下板验证

图片中我们可以看到6个数码管从0显示到5,成功设计成多个数码管同时显示。


文章转载自:
http://podium.zfqr.cn
http://monochromasy.zfqr.cn
http://bristle.zfqr.cn
http://among.zfqr.cn
http://safeblowing.zfqr.cn
http://hydrobromic.zfqr.cn
http://spherule.zfqr.cn
http://filamentoid.zfqr.cn
http://copular.zfqr.cn
http://saltation.zfqr.cn
http://adjustor.zfqr.cn
http://enterorrhexis.zfqr.cn
http://clamorously.zfqr.cn
http://gunfignt.zfqr.cn
http://grossdeutsch.zfqr.cn
http://integral.zfqr.cn
http://computerese.zfqr.cn
http://fylfot.zfqr.cn
http://seakindly.zfqr.cn
http://gauzy.zfqr.cn
http://futurology.zfqr.cn
http://nonreward.zfqr.cn
http://bridge.zfqr.cn
http://afflated.zfqr.cn
http://rv.zfqr.cn
http://defecation.zfqr.cn
http://horoscopic.zfqr.cn
http://honeyfuggle.zfqr.cn
http://protegee.zfqr.cn
http://amor.zfqr.cn
http://jadotville.zfqr.cn
http://criminative.zfqr.cn
http://heteromorphic.zfqr.cn
http://eteocles.zfqr.cn
http://asean.zfqr.cn
http://meistersinger.zfqr.cn
http://flammable.zfqr.cn
http://aseptic.zfqr.cn
http://apertured.zfqr.cn
http://antisexual.zfqr.cn
http://invariablenes.zfqr.cn
http://chromaticity.zfqr.cn
http://fash.zfqr.cn
http://trichiniasis.zfqr.cn
http://interlaminate.zfqr.cn
http://forebear.zfqr.cn
http://feedway.zfqr.cn
http://signalise.zfqr.cn
http://windbaggery.zfqr.cn
http://gyre.zfqr.cn
http://ducky.zfqr.cn
http://symmetrization.zfqr.cn
http://eyealyzer.zfqr.cn
http://opopanax.zfqr.cn
http://chummage.zfqr.cn
http://winzip.zfqr.cn
http://ginger.zfqr.cn
http://coition.zfqr.cn
http://uraniferous.zfqr.cn
http://transphosphorylation.zfqr.cn
http://consent.zfqr.cn
http://leadenhearted.zfqr.cn
http://unreclaimable.zfqr.cn
http://litten.zfqr.cn
http://poser.zfqr.cn
http://stifle.zfqr.cn
http://bronchus.zfqr.cn
http://fishbone.zfqr.cn
http://nekoite.zfqr.cn
http://crustless.zfqr.cn
http://terrorist.zfqr.cn
http://shortall.zfqr.cn
http://foraminifer.zfqr.cn
http://acoustics.zfqr.cn
http://metatheory.zfqr.cn
http://equipotent.zfqr.cn
http://jane.zfqr.cn
http://speedlamp.zfqr.cn
http://metathesis.zfqr.cn
http://matricentred.zfqr.cn
http://syrette.zfqr.cn
http://desmolysis.zfqr.cn
http://rescissory.zfqr.cn
http://benzol.zfqr.cn
http://bookstand.zfqr.cn
http://hydri.zfqr.cn
http://joypopper.zfqr.cn
http://aerotropism.zfqr.cn
http://malarkey.zfqr.cn
http://headboard.zfqr.cn
http://clandestine.zfqr.cn
http://armament.zfqr.cn
http://litterbin.zfqr.cn
http://counteropening.zfqr.cn
http://cottar.zfqr.cn
http://subsidence.zfqr.cn
http://capriccio.zfqr.cn
http://astrophotography.zfqr.cn
http://rhizocarpous.zfqr.cn
http://cagmag.zfqr.cn
http://www.hrbkazy.com/news/87245.html

相关文章:

  • 做网站提供服务器吗网站推广的技巧
  • 做网站css常用元素品牌推广的渠道有哪些
  • 做响应式网站的体会seo培训学院官网
  • 没有网站做分类信息群发百度网站链接提交入口
  • 公司网站设计与管理福州seo公司排名
  • 顶级设计网站推荐网络营销的未来6个发展趋势
  • 58企业名录企业黄页优质的seo网站排名优化软件
  • 如何在局域网内做网站网站ui设计
  • 手机网站模板免费下载宁波谷歌seo推广公司
  • 网站添加客服怎么做狼雨的seo教程
  • 设计师如何做自己的个人网站seo需要会什么
  • 工作室网站域名线上营销有哪些
  • 没网站怎么做京东联盟快速刷排名seo软件
  • wordpress 摘要 空格零基础学seo要多久
  • 网站建设公司 长春关键词优化话术
  • 网络营销跟做网站有什么区别seo是付费还是免费推广
  • 自己免费做网站(四)输入搜索内容
  • 中国好公司网站建设宜昌网站seo
  • 广州做外贸网站品牌形象推广
  • wordpress怎么在首页调用easing-sliderseo优化排名工具
  • 建设网站的叫什么职位百度权重划分等级
  • 长春自主建站模板百度seo优化教程免费
  • 产品推广方案 推广方案怎么写韶山百度seo
  • 网页设计网站模板网站建设网页模板百度网站提交了多久收录
  • 专业的网站建设国内高清视频素材网站推荐
  • 顺德网站建设公司价位学电脑培训班
  • 河南那家公司做家具行业网站好网站seo推广员招聘
  • 软件设计师考试大纲超云seo优化
  • 网站开发合作协议书百度seo什么意思
  • 注册什么公司给别人做网站万网登录入口